Fabrication of Metallic Nanoparticle Arrays

Author:  Christine Chin

Institution:  Massachusetts Institute of Technology
Date:  April 2007

Abstract

Localized surface plasmon resonance (LSPR), a collective electron density oscillation found exclusively in metallic nanostructures, is a phenomenon that is of practical significance. The strong UV-vis absorption band exhibited by metallic nanoparticles is a phenomenon not found in their bulk equivalent. Therefore, the LSPR response of metallic nanoparticles to changes in their surrounding dielectric environment may be exploited to use nanoparticle arrays as sensing platforms for biological or chemical sensors. This project focuses on the fabrication of such platforms using the method of nanoimprint lithography (NIL). NIL provides a way to produce significant areas of monodispersed nanoparticles of controlled size, shape and composition directly onto a wide range of substrates using a two-dimensional nanoblock array mold. Using this method of fabrication, we will study how the surface plasmon resonance of our fabricated Ag and Au nanoparticle arrays is affected by their composition as well as dielectric environment changes.

Figure 1. Diagram illustrates localized surface plasmon resonance (LSPR), a collective electron density oscillation caused by the electric field component of incoming light.

Figure 1. Diagram illustrates localized surface plasmon resonance (LSPR), a collective electron density oscillation caused by the electric field component of incoming light.

Introduction

The LSPR dependence of these nanoparticles on their dielectric environment has been studied over the past few years, being successfully used as a mechanism in the detection of biological molecules. Using spectroscopy, our LSPR biosensors will perform refractive index sensing by transducing changes in the surface refractive index into wavelength shifts of the LSPR extinction maximum as light is shined onto our patterned sensing platforms. As illustrated in Figure 1, the electric field component of the incident light interacts with the electron density of each metallic particle, causing the collective electron density to oscillate at a frequency that is resonant with the incoming light. This collective oscillation is what we call localized surface plasmon resonance. The LSPR extinction wavelength is sensitive to the size, composition and shape of the nanoparticles as well as their orientation, spacing and dielectric environment. An abundance of nanofabrication techniques have been employed to produce the desired nanostructures utilized for LSPR biosensors with varied degrees of success as measured by the previously mentioned parameters that affect LSPR. NIL is a very powerful method that allows for great particle fabrication control. Other alternative methods such as nanosphere lithography possess inherent fabrication limitations that allow for little particle orientation control, long range order, as well as particle geometric variation.

Figure 2. Illustration of the process of nanoimprint lithography (NIL). a-c) A glass substrate is coated with a thin film of polymer and imprinted with a mold. d-e) The mold is removed and a thin layer of metal is then deposited onto the imprinted p…

Figure 2. Illustration of the process of nanoimprint lithography (NIL). a-c) A glass substrate is coated with a thin film of polymer and imprinted with a mold. d-e) The mold is removed and a thin layer of metal is then deposited onto the imprinted polymer layer. f) Acetone is used to lift-off any residual polymer.

Fabrication of Nanoparticle Arrays using NIL

All glass substrates were cleaned in a 1:1 piranha solution of H2O2:H2SO4 for 15 minutes. Once soaked in solution, the substrate was rinsed with copious amounts of DI:H20 and finally blown dry using N¬2. A layer of mR-I 8020 photoresist was spin-coated onto the surface of the substrate to the appropriate thickness then baked on a hot plate at 140°C for 5 minutes to remove any solvents. As Figure 2 illustrates, a nanoimprinter was used to imprint a mold with periodic square nanoparticle features with in-plane widths of ~110nm and particle spacing of ~100nm directly onto the prepared substrate at 180°C and 670 psi.

Figure 3. SEM images of nanoparticles fabricated using NIL.

Figure 3. SEM images of nanoparticles fabricated using NIL.

After imprinting, the residual layer of resist was removed using reactive ion etching (RIE). A select recipe of 20sccm of O2 at 50 watts of power and 20mTorr was used to etch the polymer away. Following the polymer etch, a very thin layer of titanium followed by a layer of metal was deposited onto the surface of the substrate using an electron beam evaporator. Metals generally have poor adhesion properties, therefore the titanium adhesion layer is essential in fabricating metallic nanoparticles directly onto a substrate. Lift-off is performed by placing the substrate in a beaker of acetone and then placing the beaker of acetone in an ultrasonic bath. The finished sample is rinsed with methanol and IPA and dried with N2 to completion. SEM images of our fabricated nanoparticle arrays are illustrated in Figure 3.

Figure 4. Schematic diagram of experimental setup used to perform optical extinction measurements.

Figure 4. Schematic diagram of experimental setup used to perform optical extinction measurements.

Testing

Optical extinction measurement tests were conducted using a Nikon TE300 Eclipse inverted microscope (20x objective) with transmitted broadband light coupled into an Ocean Optics SD2000 fiber-coupled spectrometer. A schematic of our setup is illustrated in Figure 4. Adhesion layer testing was first conducted to study the effects on the resonance of the metallic nanoparticles. 20nm gold nanoparticles, as well as silver nanoparticles with adhesion layers of 0nm, 1nm and 3nm were tested. As shown in Figure 5, a consistent resonance peak shift to shorter wavelengths was observed as the thickness of the titanium layer increased. In addition, the 1nm adhesion layer uniquely exhibited a stronger resonance than other adhesion layer thicknesses. The effect of the metal thickness on the LSPR was also studied.

Gold and silver nanoparticles of ranging thicknesses were fabricated and tested. Figure 6 and 7 illustrate the consistent shift of the wavelength peak to shorter wavelengths as the metal thickness increased. Silver nanoparticles exhibit a sharper resonance peak and therefore allows for better shift detection than gold nanoparticles. The consistent trend in shifts shows that NIL is able to produce metallic nanoparticle arrays that are very easily tunable.

Figure 5. Illustrates the shift in resonance as the thickness of the adhesion layer changes.

Figure 5. Illustrates the shift in resonance as the thickness of the adhesion layer changes.

Figure 6. Localized surface plasmon resonance shift induced by the change in height of the Au metal nanoparticle varies. Data are presented for square Au nanoparticles with in plane widths of ~110nm, and thicknesses of 10, 15, 20, 25, 30, and 35 nm.

Figure 6. Localized surface plasmon resonance shift induced by the change in height of the Au metal nanoparticle varies. Data are presented for square Au nanoparticles with in plane widths of ~110nm, and thicknesses of 10, 15, 20, 25, 30, and 35 nm.

We lastly performed refractive index testing to test the sensitivity of our nanoparticles. A flow cell setup was used to flow different concentrations of a glycerol/water solutions over our sensing platforms thus changing the concentration of analyte on the platform surface. The change in the refractive index of the surface resulted in a shift of the resonance peak. Silver nanoparticles exhibited higher sensitivity than gold nanoparticles, as can be seen in Figure 8. A greater shift in wavelength resulted in response to a change in refractive index for silver nanoparticles.

Figure 7. Illustrates the resonance shift as the height of the Ag metal nanoparticle varies. Data are presented for square Ag nanoparticles with in plane widths of ~110nm, and thicknesses of 10, 20, 30 and 40 nm.

Figure 7. Illustrates the resonance shift as the height of the Ag metal nanoparticle varies. Data are presented for square Ag nanoparticles with in plane widths of ~110nm, and thicknesses of 10, 20, 30 and 40 nm.

Results

During the duration of this project, we not only successfully demonstrated the fabrication of nanoparticle arrays by nanoimprint lithography, but we additionally identified the optimal adhesion layer thickness for LSPR and examined the LSPR dependence on metal thickness of nanoparticles. And lastly, by performing refractive index sensing experiments, we were able to observe a stronger response from Ag nanoparticles of smaller height.

Figure 8. Localized surface plasmon resonance response to changes in the refractive index of the surface. Silver nanoparticles of smaller height exhibit the best sensing capabililties.

Figure 8. Localized surface plasmon resonance response to changes in the refractive index of the surface. Silver nanoparticles of smaller height exhibit the best sensing capabililties.

Discussion

NIL-fabricated metallic nanoparticles have demonstrated numerous biological applications. Because NIL is able to produce metallic nanoparticle arrays that are easily tunable, using these nanoparticle systems as real-time chemical and biological sensors is one of their most promising applications. These systems have been implemented as single-particle, one-dimensional and two-dimensional array configurations on transparent substrates as well as in solution-phase detection. Our studies have allowed for the better understanding of how the LSPR sensing capability of these nanoparticles is dependent on dielectric environment as well as composition. Experimental studies are ongoing, varying the unique dimensional characteristics and related LSPR attributes to enhance the sensing capabilities of these nanoparticle systems.

Acknowledgements

I would like to thank Professor L. Jay Guo, Brandon Lucas, Dr. Sandrine Martin, Dr. Jin-Sung Kim, Myung-Gyu Kang, Guo Nanogroup, Michigan Nanofabrication Facility, the National Science Foundation and National Nanotechnology Infrastructure Network for all their help and support.

References

"Localized Surface Plasma Resonance Biosensors," J. Zhao, X. Zhang, C. Yonzon, A.J. Haes, R.P. Van Duyne, Nanomedicine, 1, 219-228 (2006).

"Biological Applications of Loclized Surface Plasma Resonance Phenomenae," D.A. Stuart, A.J. Haes, C.R. Yonzon, E.M. Hicks, and R.P. VanDuyne, IEE Proc.-Nanobiotechnol., 152, 13-32 (2005).